Import sm8250-common and its users from lineage-18.1 (ab4145f)

Change-Id: I5ed31c1abe988113b0f47cbeb2d405e89720c64b
twelve
LuK1337 2022-02-21 22:18:51 +01:00
commit 4094f619b1
306 changed files with 21903 additions and 0 deletions

7
Android.bp Normal file
View File

@ -0,0 +1,7 @@
// Automatically generated file. DO NOT MODIFY
//
// This file is generated by device/oneplus/kebab/setup-makefiles.sh
soong_namespace {
}

9
Android.mk Normal file
View File

@ -0,0 +1,9 @@
# Automatically generated file. DO NOT MODIFY
#
# This file is generated by device/oneplus/kebab/setup-makefiles.sh
LOCAL_PATH := $(call my-dir)
ifeq ($(TARGET_DEVICE),kebab)
endif

4
BoardConfigVendor.mk Normal file
View File

@ -0,0 +1,4 @@
# Automatically generated file. DO NOT MODIFY
#
# This file is generated by device/oneplus/kebab/setup-makefiles.sh

310
kebab-vendor.mk Normal file
View File

@ -0,0 +1,310 @@
# Automatically generated file. DO NOT MODIFY
#
# This file is generated by device/oneplus/kebab/setup-makefiles.sh
PRODUCT_SOONG_NAMESPACES += \
vendor/oneplus/kebab
PRODUCT_COPY_FILES += \
vendor/oneplus/kebab/proprietary/odm/etc/odm_feature_list:$(TARGET_COPY_OUT_ODM)/etc/odm_feature_list \
vendor/oneplus/kebab/proprietary/vendor/bin/hw/android.hardware.keymaster@4.1-service-qti:$(TARGET_COPY_OUT_VENDOR)/bin/hw/android.hardware.keymaster@4.1-service-qti \
vendor/oneplus/kebab/proprietary/vendor/bin/hw/vendor.pixelworks.hardware.display.iris-service:$(TARGET_COPY_OUT_VENDOR)/bin/hw/vendor.pixelworks.hardware.display.iris-service \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/MTP_Bluetooth_cal.acdb:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/MTP_Bluetooth_cal.acdb \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/MTP_General_cal.acdb:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/MTP_General_cal.acdb \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/MTP_Global_cal.acdb:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/MTP_Global_cal.acdb \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/MTP_Handset_cal.acdb:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/MTP_Handset_cal.acdb \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/MTP_Hdmi_cal.acdb:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/MTP_Hdmi_cal.acdb \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/MTP_Headset_cal.acdb:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/MTP_Headset_cal.acdb \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/MTP_Speaker_cal.acdb:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/MTP_Speaker_cal.acdb \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/MTP_workspaceFile.qwsp:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/MTP_workspaceFile.qwsp \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/MTP/acdb_version:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/MTP/acdb_version \
vendor/oneplus/kebab/proprietary/vendor/etc/acdbdata/adsp_avs_config.acdb:$(TARGET_COPY_OUT_VENDOR)/etc/acdbdata/adsp_avs_config.acdb \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/M_Attribute_AG_BMW_1.1.0.model.hardcode:$(TARGET_COPY_OUT_VENDOR)/etc/camera/M_Attribute_AG_BMW_1.1.0.model.hardcode \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/ashdr/ncf_pack.ncf:$(TARGET_COPY_OUT_VENDOR)/etc/camera/ashdr/ncf_pack.ncf \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/ashdr/ncf_pack_imx471.ncf:$(TARGET_COPY_OUT_VENDOR)/etc/camera/ashdr/ncf_pack_imx471.ncf \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/ashdr/ncf_pack_imx481.ncf:$(TARGET_COPY_OUT_VENDOR)/etc/camera/ashdr/ncf_pack_imx481.ncf \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/ashdr/ncf_pack_imx586.ncf:$(TARGET_COPY_OUT_VENDOR)/etc/camera/ashdr/ncf_pack_imx586.ncf \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/calibrationOutput_uw.bin:$(TARGET_COPY_OUT_VENDOR)/etc/camera/calibrationOutput_uw.bin \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/darksight/darksight_main.bin:$(TARGET_COPY_OUT_VENDOR)/etc/camera/darksight/darksight_main.bin \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/darksight/darksight_ultrawide.bin:$(TARGET_COPY_OUT_VENDOR)/etc/camera/darksight/darksight_ultrawide.bin \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/depth.dlc:$(TARGET_COPY_OUT_VENDOR)/etc/camera/depth.dlc \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/distortion_result.bin:$(TARGET_COPY_OUT_VENDOR)/etc/camera/distortion_result.bin \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/model.data:$(TARGET_COPY_OUT_VENDOR)/etc/camera/model.data \
vendor/oneplus/kebab/proprietary/vendor/etc/camera/segment.dlc:$(TARGET_COPY_OUT_VENDOR)/etc/camera/segment.dlc \
vendor/oneplus/kebab/proprietary/vendor/etc/init/android.hardware.keymaster@4.1-service-qti.rc:$(TARGET_COPY_OUT_VENDOR)/etc/init/android.hardware.keymaster@4.1-service-qti.rc \
vendor/oneplus/kebab/proprietary/vendor/etc/init/calibrationOutput_uw.rc:$(TARGET_COPY_OUT_VENDOR)/etc/init/calibrationOutput_uw.rc \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm1.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm1.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm10.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm10.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm11.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm11.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm12.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm12.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm13.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm13.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm14.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm14.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm15.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm15.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm16.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm16.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm17.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm17.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm18.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm18.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm2.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm2.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm3.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm3.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm4.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm4.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm5.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm5.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm6.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm6.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm7.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm7.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm8.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm8.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/inparm/irissoft/inParm9.txt:$(TARGET_COPY_OUT_VENDOR)/etc/inparm/irissoft/inParm9.txt \
vendor/oneplus/kebab/proprietary/vendor/etc/irissoft.fw:$(TARGET_COPY_OUT_VENDOR)/etc/irissoft.fw \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/bmi26x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/bmi26x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/bmi26x_0_crt.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/bmi26x_0_crt.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/bmi26x_0_crt_cfg.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/bmi26x_0_crt_cfg.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/bmp380_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/bmp380_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_T0_sx9324_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_T0_sx9324_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_T0_sx9324up_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_T0_sx9324up_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_ak991x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_ak991x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_bmi26x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_bmi26x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_bmp380_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_bmp380_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_bu52053nvx_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_bu52053nvx_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_default_sensors.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_default_sensors.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_dynamic_sensors.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_dynamic_sensors.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_hdk_ak991x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_hdk_ak991x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_hdk_lsm6dst_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_hdk_lsm6dst_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_hdk_lsm6dst_1.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_hdk_lsm6dst_1.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_irq.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_irq.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_lps22hh_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_lps22hh_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_lsm6dsm_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_lsm6dsm_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_lsm6dst_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_lsm6dst_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_lsm6dst_1.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_lsm6dst_1.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_mmc5603x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_mmc5603x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_power_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_power_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_qrd_ak991x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_qrd_ak991x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_qrd_lsm6dst_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_qrd_lsm6dst_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_qrd_sx932x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_qrd_sx932x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_qrd_tmd2725_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_qrd_tmd2725_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_shtw2_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_shtw2_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_stk2232_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_stk2232_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_stk3a6x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_stk3a6x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_svr_bma4_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_svr_bma4_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_svr_bmg160_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_svr_bmg160_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_svr_icm4x6xx_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_svr_icm4x6xx_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_svr_rpr0521rs_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_svr_rpr0521rs_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_sx9324_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_sx9324_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_sx9324up_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_sx9324up_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_sx932x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_sx932x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_tcs3408.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_tcs3408.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_tcs3701.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_tcs3701.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_tcs3707.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_tcs3707.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/kona_tmd2725_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/kona_tmd2725_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/lsm6dsm_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/lsm6dsm_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/lsm6dsm_0_8g.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/lsm6dsm_0_8g.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/mmc5603x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/mmc5603x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_amd.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_amd.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_amd_sw_disabled.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_amd_sw_disabled.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_amd_sw_enabled.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_amd_sw_enabled.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_aont.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_aont.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_basic_gestures.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_basic_gestures.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_bring_to_ear.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_bring_to_ear.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_ccd.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_ccd.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_ccd_v2_walk.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_ccd_v2_walk.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_ccd_v3_1_walk.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_ccd_v3_1_walk.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_ccd_v3_walk.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_ccd_v3_walk.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_cm.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_cm.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_dae.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_dae.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_device_orient.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_device_orient.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_diag_filter.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_diag_filter.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_distance_bound.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_distance_bound.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_dpc.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_dpc.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_facing.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_facing.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_fmv.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_fmv.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_fmv_legacy.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_fmv_legacy.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_geomag_rv.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_geomag_rv.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_gyro_cal.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_gyro_cal.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_heart_rate.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_heart_rate.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_mag_cal.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_mag_cal.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_mag_cal_legacy.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_mag_cal_legacy.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_multishake.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_multishake.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_pedometer.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_pedometer.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_rmd.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_rmd.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_rotv.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_rotv.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_smd.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_smd.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_tilt.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_tilt.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_tilt_sw_disabled.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_tilt_sw_disabled.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_tilt_sw_enabled.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_tilt_sw_enabled.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_tilt_to_wake.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_tilt_to_wake.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sns_wrist_pedo.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sns_wrist_pedo.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/stk2232_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/stk2232_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/stk3a6x_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/stk3a6x_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sx9324_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sx9324_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/sx9324up_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/sx9324up_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/tcs3408.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/tcs3408.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/tcs3701.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/tcs3701.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/tcs3707.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/tcs3707.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/config/wigig_sensing_0.json:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/config/wigig_sensing_0.json \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/hals.conf:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/hals.conf \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/descriptor.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/descriptor.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/nanopb.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/nanopb.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/qti_gravity.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/qti_gravity.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_accel.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_accel.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_accel_cal.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_accel_cal.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_activity_recognition.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_activity_recognition.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_ambient_light.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_ambient_light.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_ambient_temperature.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_ambient_temperature.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_amd.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_amd.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_aont.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_aont.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_async_com_port.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_async_com_port.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_basic_gestures.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_basic_gestures.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_bring_to_ear.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_bring_to_ear.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_cal.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_cal.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_ccd_ttw.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_ccd_ttw.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_ccd_walk.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_ccd_walk.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_client.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_client.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_cmc.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_cmc.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_cmd.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_cmd.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_da_test.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_da_test.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_dae.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_dae.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_data_acquisition_engine.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_data_acquisition_engine.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_device_mode.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_device_mode.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_device_orient.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_device_orient.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_diag.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_diag.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_diag_sensor.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_diag_sensor.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_distance_bound.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_distance_bound.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_dpc.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_dpc.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_ext_svc.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_ext_svc.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_facing.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_facing.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_fmv.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_fmv.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_formatter.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_formatter.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_fw.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_fw.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_game_rv.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_game_rv.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_geomag_rv.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_geomag_rv.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_gravity.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_gravity.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_gyro.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_gyro.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_gyro_cal.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_gyro_cal.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_gyro_rot_matrix.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_gyro_rot_matrix.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_hall.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_hall.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_heart_beat.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_heart_beat.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_heart_rate.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_heart_rate.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_hinge_angle.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_hinge_angle.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_humidity.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_humidity.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_interrupt.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_interrupt.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_mag.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_mag.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_mag_cal.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_mag_cal.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_mcmd.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_mcmd.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_motion_detect.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_motion_detect.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_multishake.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_multishake.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_oem1.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_oem1.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_offbody_detect.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_offbody_detect.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_op_motion_detect.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_op_motion_detect.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_op_sar.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_op_sar.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_pedometer.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_pedometer.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_pedometer_wrist.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_pedometer_wrist.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_physical_sensor_test.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_physical_sensor_test.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_pocket.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_pocket.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_pose_6dof.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_pose_6dof.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_ppg.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_ppg.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_pressure.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_pressure.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_proximity.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_proximity.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_psmd.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_psmd.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_registry.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_registry.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_remote_proc_state.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_remote_proc_state.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_resampler.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_resampler.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_rgb.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_rgb.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_rmd.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_rmd.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_rotv.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_rotv.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_sar.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_sar.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_sensor_temperature.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_sensor_temperature.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_sig_motion.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_sig_motion.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_signal_sensor.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_signal_sensor.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_sim.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_sim.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_sim_legacy.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_sim_legacy.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_std.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_std.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_std_event_gated_sensor.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_std_event_gated_sensor.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_std_sensor.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_std_sensor.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_std_type.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_std_type.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_step_detect.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_step_detect.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_suid.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_suid.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_thermopile.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_thermopile.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_threshold.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_threshold.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_tilt.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_tilt.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_tilt_to_wake.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_tilt_to_wake.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_timer.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_timer.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_ultra_violet.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_ultra_violet.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/proto/sns_wrist_tilt_gesture.proto:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/proto/sns_wrist_tilt_gesture.proto \
vendor/oneplus/kebab/proprietary/vendor/etc/sensors/sns_reg_config:$(TARGET_COPY_OUT_VENDOR)/etc/sensors/sns_reg_config \
vendor/oneplus/kebab/proprietary/vendor/etc/thermal-engine.conf:$(TARGET_COPY_OUT_VENDOR)/etc/thermal-engine.conf \
vendor/oneplus/kebab/proprietary/vendor/firmware/tfa98xx.cnt:$(TARGET_COPY_OUT_VENDOR)/firmware/tfa98xx.cnt \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/CFR_OnePlus_UW_photo_preview.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/CFR_OnePlus_UW_photo_preview.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/CFR_OnePlus_UW_video.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/CFR_OnePlus_UW_video.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/CFR_para2_OnePlus_EF017_UW_snapshot.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/CFR_para2_OnePlus_EF017_UW_snapshot.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.eeprom.truly_cmb433.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.eeprom.truly_cmb433.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensor.gc02m1b.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensor.gc02m1b.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensor.gc5035.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensor.gc5035.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensor.imx471.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensor.imx471.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensor.imx481.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensor.imx481.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensor.imx586.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensor.imx586.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensormodule.holitech_gc02m1b.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensormodule.holitech_gc02m1b.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensormodule.qtech_imx481.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensormodule.qtech_imx481.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensormodule.semco_imx586.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensormodule.semco_imx586.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensormodule.shine_gc5035.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensormodule.shine_gc5035.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.sensormodule.truly_imx471.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.sensormodule.truly_imx471.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.tuned.holitech_gc02m1b.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.tuned.holitech_gc02m1b.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.tuned.qtech_imx481.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.tuned.qtech_imx481.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.tuned.semco_imx586.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.tuned.semco_imx586.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.tuned.shine_gc5035.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.tuned.shine_gc5035.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/com.qti.tuned.truly_imx471.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/com.qti.tuned.truly_imx471.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.oneplus.node.cfrsnapshot.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.oneplus.node.cfrsnapshot.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.oneplus.node.cfrvideo.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.oneplus.node.cfrvideo.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.oneplus.node.memcpy.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.oneplus.node.memcpy.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.oneplus.node.preview.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.oneplus.node.preview.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.oneplus.node.preview_nv.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.oneplus.node.preview_nv.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.oneplus.node.rtb.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.oneplus.node.rtb.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.oneplus.node.sat.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.oneplus.node.sat.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.camx.chiiqutils.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.camx.chiiqutils.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.eisv2.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.eisv2.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.eisv3.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.eisv3.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.hvx.addconstant.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.hvx.addconstant.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.hvx.binning.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.hvx.binning.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.customhwnode.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.customhwnode.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.depth.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.depth.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.dummyrtb.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.dummyrtb.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.dummysat.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.dummysat.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.eisv2.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.eisv2.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.eisv3.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.eisv3.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.fcv.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.fcv.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.gpu.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.gpu.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.memcpy.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.memcpy.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.remosaic.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.remosaic.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.stich.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.stich.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.node.swregistration.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.node.swregistration.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.aec.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.aec.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.aecwrapper.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.aecwrapper.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.af.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.af.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.afd.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.afd.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.afwrapper.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.afwrapper.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.asd.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.asd.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.awb.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.awb.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.awbwrapper.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.awbwrapper.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.haf.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.haf.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.hafoverride.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.hafoverride.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.pdlib.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.pdlib.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.pdlibsony.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.pdlibsony.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.pdlibwrapper.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.pdlibwrapper.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qti.stats.tracker.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qti.stats.tracker.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qtistatic.stats.aec.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qtistatic.stats.aec.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qtistatic.stats.af.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qtistatic.stats.af.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qtistatic.stats.awb.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qtistatic.stats.awb.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/com.qtistatic.stats.pdlib.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/com.qtistatic.stats.pdlib.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/components/libdepthmapwrapper.so:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/components/libdepthmapwrapper.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/fdconfigpreview.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/fdconfigpreview.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/fdconfigpreviewlite.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/fdconfigpreviewlite.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/fdconfigvideo.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/fdconfigvideo.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/camera/fdconfigvideolite.bin:$(TARGET_COPY_OUT_VENDOR)/lib64/camera/fdconfigvideolite.bin \
vendor/oneplus/kebab/proprietary/vendor/lib64/fp_hal_extension.so:$(TARGET_COPY_OUT_VENDOR)/lib64/fp_hal_extension.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/hw/camera.qcom.so:$(TARGET_COPY_OUT_VENDOR)/lib64/hw/camera.qcom.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/hw/goodix.fod.kona.so:$(TARGET_COPY_OUT_VENDOR)/lib64/hw/goodix.fod.kona.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/hw/goodix.g6.fod.kona.so:$(TARGET_COPY_OUT_VENDOR)/lib64/hw/goodix.g6.fod.kona.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/libarm_proxy_skel.so:$(TARGET_COPY_OUT_VENDOR)/lib64/libarm_proxy_skel.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/libgf_g6_ud_hal.so:$(TARGET_COPY_OUT_VENDOR)/lib64/libgf_g6_ud_hal.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/libgf_ud_hal.so:$(TARGET_COPY_OUT_VENDOR)/lib64/libgf_ud_hal.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/libhvx_proxy_stub.so:$(TARGET_COPY_OUT_VENDOR)/lib64/libhvx_proxy_stub.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/libkeymasterdeviceutils.so:$(TARGET_COPY_OUT_VENDOR)/lib64/libkeymasterdeviceutils.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/libkeymasterprovision.so:$(TARGET_COPY_OUT_VENDOR)/lib64/libkeymasterprovision.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/libkeymasterutils.so:$(TARGET_COPY_OUT_VENDOR)/lib64/libkeymasterutils.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/libqtikeymaster4.so:$(TARGET_COPY_OUT_VENDOR)/lib64/libqtikeymaster4.so \
vendor/oneplus/kebab/proprietary/vendor/lib64/vendor.goodix.hardware.biometrics.fingerprint@2.1.so:$(TARGET_COPY_OUT_VENDOR)/lib64/vendor.goodix.hardware.biometrics.fingerprint@2.1.so

View File

@ -0,0 +1,373 @@
[0] UnKnown = false
[1] UnKnown = true
[2] UnKnown = true
[3] UnKnown = true
[4] UnKnown = true
[5] UnKnown = true
[6] UnKnown = true
[7] UnKnown = false
[8] UnKnown = true
[9] UnKnown = true
[10] UnKnown = true
[11] UnKnown = false
[12] UnKnown = true
[13] UnKnown = true
[14] UnKnown = true
[15] UnKnown = true
[16] UnKnown = false
[17] UnKnown = false
[18] UnKnown = true
[19] UnKnown = true
[20] UnKnown = true
[21] UnKnown = true
[22] UnKnown = true
[23] UnKnown = true
[24] UnKnown = true
[25] UnKnown = false
[26] UnKnown = true
[27] UnKnown = true
[28] UnKnown = true
[29] UnKnown = false
[30] UnKnown = true
[31] UnKnown = true
[32] UnKnown = true
[33] UnKnown = true
[34] UnKnown = true
[35] UnKnown = true
[36] UnKnown = true
[37] UnKnown = true
[38] UnKnown = true
[39] UnKnown = false
[40] UnKnown = true
[41] UnKnown = false
[42] UnKnown = false
[43] UnKnown = false
[44] UnKnown = false
[45] UnKnown = false
[46] UnKnown = false
[47] UnKnown = false
[48] UnKnown = false
[49] UnKnown = true
[50] UnKnown = true
[51] UnKnown = true
[52] UnKnown = false
[53] UnKnown = true
[54] UnKnown = false
[55] UnKnown = false
[56] UnKnown = false
[57] UnKnown = false
[58] UnKnown = true
[59] UnKnown = false
[60] UnKnown = true
[61] UnKnown = true
[62] UnKnown = false
[63] UnKnown = false
[64] UnKnown = true
[65] UnKnown = false
[66] UnKnown = false
[67] UnKnown = true
[68] UnKnown = false
[69] UnKnown = true
[70] UnKnown = false
[71] UnKnown = false
[72] UnKnown = false
[73] UnKnown = false
[74] UnKnown = false
[75] UnKnown = true
[76] UnKnown = true
[77] UnKnown = true
[78] UnKnown = true
[79] UnKnown = true
[80] UnKnown = true
[81] UnKnown = true
[82] UnKnown = true
[83] UnKnown = false
[84] UnKnown = false
[85] UnKnown = true
[86] UnKnown = true
[87] UnKnown = false
[88] UnKnown = false
[89] UnKnown = false
[90] UnKnown = false
[91] UnKnown = false
[92] UnKnown = false
[93] UnKnown = true
[94] UnKnown = false
[95] UnKnown = false
[96] UnKnown = true
[97] UnKnown = true
[98] UnKnown = true
[99] UnKnown = true
[100] UnKnown = false
[101] UnKnown = false
[102] UnKnown = false
[103] UnKnown = true
[104] UnKnown = true
[105] UnKnown = true
[106] UnKnown = true
[107] UnKnown = false
[108] UnKnown = true
[109] UnKnown = false
[110] UnKnown = false
[111] UnKnown = true
[112] UnKnown = false
[113] UnKnown = true
[114] UnKnown = false
[115] UnKnown = true
[116] UnKnown = true
[117] UnKnown = false
[118] UnKnown = false
[119] UnKnown = true
[120] UnKnown = false
[121] UnKnown = false
[122] UnKnown = false
[123] UnKnown = false
[124] UnKnown = false
[125] UnKnown = true
[126] UnKnown = true
[127] UnKnown = true
[128] UnKnown = false
[129] UnKnown = false
[130] UnKnown = true
[131] UnKnown = true
[132] UnKnown = true
[133] UnKnown = true
[134] UnKnown = true
[135] UnKnown = true
[136] UnKnown = true
[137] UnKnown = true
[138] UnKnown = true
[139] UnKnown = true
[140] UnKnown = true
[141] UnKnown = true
[142] UnKnown = true
[143] UnKnown = true
[144] UnKnown = true
[145] UnKnown = true
[146] UnKnown = true
[147] UnKnown = false
[148] UnKnown = true
[149] UnKnown = true
[150] UnKnown = true
[151] UnKnown = true
[152] UnKnown = true
[153] UnKnown = true
[154] UnKnown = true
[155] UnKnown = true
[156] UnKnown = true
[157] UnKnown = true
[158] UnKnown = true
[159] UnKnown = true
[160] UnKnown = true
[161] UnKnown = true
[162] UnKnown = false
[163] UnKnown = false
[164] UnKnown = true
[165] UnKnown = true
[166] UnKnown = true
[167] UnKnown = true
[168] UnKnown = true
[169] UnKnown = true
[170] UnKnown = true
[171] UnKnown = true
[172] UnKnown = true
[173] UnKnown = true
[174] UnKnown = true
[175] UnKnown = true
[176] UnKnown = true
[177] UnKnown = true
[178] UnKnown = true
[179] UnKnown = false
[180] UnKnown = true
[181] UnKnown = false
[182] UnKnown = true
[183] UnKnown = false
[184] UnKnown = true
[185] UnKnown = false
[186] UnKnown = true
[187] UnKnown = true
[188] UnKnown = false
[189] UnKnown = true
[190] UnKnown = true
[191] UnKnown = true
[192] UnKnown = false
[193] UnKnown = true
[194] UnKnown = false
[195] UnKnown = false
[196] UnKnown = false
[197] UnKnown = false
[198] UnKnown = false
[199] UnKnown = true
[200] UnKnown = false
[201] UnKnown = false
[202] UnKnown = false
[203] UnKnown = true
[204] UnKnown = false
[205] UnKnown = false
[206] UnKnown = true
[207] UnKnown = false
[208] UnKnown = false
[209] UnKnown = false
[210] UnKnown = true
[211] UnKnown = true
[212] UnKnown = true
[213] UnKnown = false
[214] UnKnown = true
[215] UnKnown = false
[216] UnKnown = false
[217] UnKnown = false
[218] UnKnown = false
[219] UnKnown = true
[220] UnKnown = false
[221] UnKnown = false
[222] UnKnown = true
[223] UnKnown = false
[224] UnKnown = false
[225] UnKnown = false
[226] UnKnown = false
[227] UnKnown = false
[228] UnKnown = false
[229] UnKnown = true
[230] UnKnown = true
[231] UnKnown = false
[232] UnKnown = false
[233] UnKnown = true
[234] UnKnown = false
[235] UnKnown = true
[236] UnKnown = true
[237] UnKnown = false
[238] UnKnown = true
[239] UnKnown = false
[240] UnKnown = true
[241] UnKnown = false
[242] UnKnown = false
[243] UnKnown = false
[244] UnKnown = false
[245] UnKnown = false
[246] UnKnown = false
[247] UnKnown = false
[248] UnKnown = false
[249] UnKnown = false
[250] UnKnown = false
[251] UnKnown = false
[252] UnKnown = false
[253] UnKnown = false
[254] UnKnown = false
[255] UnKnown = false
[256] UnKnown = true
[257] UnKnown = false
[258] UnKnown = false
[259] UnKnown = true
[260] UnKnown = true
[261] UnKnown = false
[262] UnKnown = true
[263] UnKnown = false
[264] UnKnown = true
[265] UnKnown = true
[266] UnKnown = false
[267] UnKnown = true
[268] UnKnown = true
[269] UnKnown = true
[270] UnKnown = false
[271] UnKnown = false
[272] UnKnown = true
[273] UnKnown = true
[274] UnKnown = true
[275] UnKnown = true
[276] UnKnown = true
[277] UnKnown = false
[278] UnKnown = true
[279] UnKnown = false
[280] UnKnown = true
[281] UnKnown = true
[282] UnKnown = false
[283] UnKnown = false
[284] UnKnown = true
[285] UnKnown = false
[286] UnKnown = true
[287] UnKnown = false
[288] UnKnown = false
[289] UnKnown = false
[290] UnKnown = true
[291] UnKnown = true
[292] UnKnown = false
[293] UnKnown = true
[294] UnKnown = false
[295] UnKnown = true
[296] UnKnown = false
[297] UnKnown = false
[298] UnKnown = false
[299] UnKnown = false
[300] UnKnown = true
[301] UnKnown = true
[302] UnKnown = true
[303] UnKnown = false
[304] UnKnown = false
[305] UnKnown = true
[306] UnKnown = true
[307] UnKnown = false
[308] UnKnown = false
[309] UnKnown = true
[310] UnKnown = false
[311] UnKnown = true
[312] UnKnown = false
[313] UnKnown = true
[314] UnKnown = false
[315] UnKnown = false
[316] UnKnown = false
[317] UnKnown = false
[318] UnKnown = false
[319] UnKnown = false
[320] UnKnown = true
[321] UnKnown = true
[322] UnKnown = false
[323] UnKnown = true
[324] UnKnown = false
[325] UnKnown = true
[326] UnKnown = true
[327] UnKnown = false
[328] UnKnown = false
[329] UnKnown = false
[330] UnKnown = false
[331] UnKnown = false
[332] UnKnown = false
[333] UnKnown = false
[334] UnKnown = false
[335] UnKnown = false
[336] UnKnown = false
[337] UnKnown = false
[338] UnKnown = false
[339] UnKnown = false
[340] UnKnown = false
[341] UnKnown = false
[342] UnKnown = false
[343] UnKnown = true
[344] UnKnown = true
[345] UnKnown = true
[346] UnKnown = true
[347] UnKnown = false
[348] UnKnown = false
[349] UnKnown = false
[350] UnKnown = false
[351] UnKnown = false
[352] UnKnown = true
[353] UnKnown = false
[354] UnKnown = false
[355] UnKnown = true
[356] UnKnown = false
[357] UnKnown = false
[358] UnKnown = false
[359] UnKnown = false
[360] UnKnown = false
[361] UnKnown = false
[362] UnKnown = false
[363] UnKnown = false
[364] UnKnown = false
[365] UnKnown = false
[366] UnKnown = false
[367] UnKnown = false
[368] UnKnown = false
[369] UnKnown = false
[370] UnKnown = true
[371] UnKnown = false
[372] UnKnown = true

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1 @@
version:19805-O2-acdb-20210330

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

BIN
proprietary/vendor/etc/camera/depth.dlc vendored Normal file

Binary file not shown.

Binary file not shown.

BIN
proprietary/vendor/etc/camera/model.data vendored Normal file

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,7 @@
on init
start keymaster-4-1
service keymaster-4-1 /vendor/bin/hw/android.hardware.keymaster@4.1-service-qti
class early_hal
user system
group system drmrpc

View File

@ -0,0 +1,2 @@
on post-fs
chmod 777 /vendor/etc/camera/calibrationOutput_uw.bin

View File

@ -0,0 +1,238 @@
0.6890
0.3110
0.2420
0.7120
0.1360
0.0480
0.3000
0.3100
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87277326
0.22692811
-0.09970137
0
0.02146328
0.7957425
0.01715895
0
-0.00704654
-0.05037417
0.24904062
0
1
0
0
0
0
1
0
0
0
0
1
0
0.84989446
-0.03578947
0.02247912
0
-0.00345145
0.86205857
-0.00417986
0
0.00143757
0.01139258
0.98716985
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
0
0
1
1
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.708000000000000
0.292000000000000
0.170000000000000
0.797000000000000
0.131000000000000
0.046000000000000
0.312713000000000
0.329060000000000
0
0
10
0
0
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
200
1400
0.125
1.5
0.33333
0
1
0
1
0
0
1.65
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.708000000000000
0.292000000000000
0.170000000000000
0.797000000000000
0.131000000000000
0.046000000000000
0.312713000000000
0.329060000000000
0
0
10
0
0
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
200
1400
0.125
1.5
0.33333
1
0
0
1
1
0
1.65
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.708000000000000
0.292000000000000
0.170000000000000
0.797000000000000
0.131000000000000
0.046000000000000
0.312713000000000
0.329060000000000
0
0
10
0
0
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
200
4000
0.125
1.5
0.33333
2
0
0
1
0
0
1.65
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,251 @@
0.684000000000000
0.320000000000000
0.264800000000000
0.690700000000000
0.149500000000000
0.059000000000000
0.3061
0.3164
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87802262
0.22035174
-0.09837436
0
0.02085663
0.80322066
0.01700253
0
-0.00691779
-0.04971179
0.26336757
0
1
0
0
0
0
1
0
0
0
0
1
0
0.80571713
-0.04157272
0.02926461
0
-0.00404018
0.81985403
-0.00554317
0
0.00182215
0.01484294
0.98333491
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
0
0
0
1
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
2.4
0
1
0
0.20
1
0.20
1
0.3
2
1
2
3
2
0.4
1
0.6
1
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.3061
0.3124
0.4545454545
0
4
2.5
5
2.5
10
2
0.05
1.05
-0.2
1.55
0
1.5
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0.5
0.9

View File

@ -0,0 +1,251 @@
0.684000000000000
0.320000000000000
0.264800000000000
0.690700000000000
0.149500000000000
0.059000000000000
0.3061
0.3164
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87802262
0.22035174
-0.09837436
0
0.02085663
0.80322066
0.01700253
0
-0.00691779
-0.04971179
0.26336757
0
1
0
0
0
0
1
0
0
0
0
1
0
0.80571713
-0.04157272
0.02926461
0
-0.00404018
0.81985403
-0.00554317
0
0.00182215
0.01484294
0.98333491
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
1
0
0
1
1
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
2.4
0
1
0
0.20
1
0.20
1
0.3
2
1
2
3
2
0.4
1
0.6
1
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.3061
0.3124
0.4545454545
0
4
2.5
5
2.5
10
2
0.05
1.05
-0.2
1.55
0
1.5
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0.5
0.9

View File

@ -0,0 +1,251 @@
0.684000000000000
0.320000000000000
0.264800000000000
0.690700000000000
0.149500000000000
0.059000000000000
0.3061
0.3164
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87802262
0.22035174
-0.09837436
0
0.02085663
0.80322066
0.01700253
0
-0.00691779
-0.04971179
0.26336757
0
1
0
0
0
0
1
0
0
0
0
1
0
0.80571713
-0.04157272
0.02926461
0
-0.00404018
0.81985403
-0.00554317
0
0.00182215
0.01484294
0.98333491
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
2
0
0
1
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
2.4
0
1
0
0.20
1
0.20
1
0.3
2
1
2
3
2
0.4
1
0.6
1
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.3061
0.3124
0.4545454545
0
4
2.5
5
2.5
10
2
0.05
1.05
-0.2
1.55
0
1.5
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0.5
0.9

View File

@ -0,0 +1,238 @@
0.681000000000000
0.320100000000000
0.265000000000000
0.690000000000000
0.149000000000000
0.059000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
0
0
0
1
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.681000000000000
0.320100000000000
0.265000000000000
0.690000000000000
0.149000000000000
0.059000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
1
0
0
1
1
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.681000000000000
0.320100000000000
0.265000000000000
0.690000000000000
0.149000000000000
0.059000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
2
0
0
1
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.6890
0.3110
0.2420
0.7120
0.1360
0.0480
0.3000
0.3100
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87277326
0.22692811
-0.09970137
0
0.02146328
0.7957425
0.01715895
0
-0.00704654
-0.05037417
0.24904062
0
1
0
0
0
0
1
0
0
0
0
1
0
0.84989446
-0.03578947
0.02247912
0
-0.00345145
0.86205857
-0.00417986
0
0.00143757
0.01139258
0.98716985
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
1
0
1
1
1
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.6890
0.3110
0.2420
0.7120
0.1360
0.0480
0.3000
0.3100
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87277326
0.22692811
-0.09970137
0
0.02146328
0.7957425
0.01715895
0
-0.00704654
-0.05037417
0.24904062
0
1
0
0
0
0
1
0
0
0
0
1
0
0.84989446
-0.03578947
0.02247912
0
-0.00345145
0.86205857
-0.00417986
0
0.00143757
0.01139258
0.98716985
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
2
0
1
1
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.643000000000000
0.330600000000000
0.300000000000000
0.603000000000000
0.149000000000000
0.059000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
0
0
0
1
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.643000000000000
0.330600000000000
0.300000000000000
0.603000000000000
0.149000000000000
0.059000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
1
0
0
1
1
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,238 @@
0.643000000000000
0.330600000000000
0.300000000000000
0.603000000000000
0.149000000000000
0.059000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87663084
0.21778052
-0.09441136
0
0.02058558
0.80270812
0.01618998
0
-0.00670111
-0.04769481
0.28536144
0
1
0
0
0
0
1
0
0
0
0
1
0
0.73821893
-0.0393215
0.04002821
0
-0.00394282
0.75161839
-0.00793695
0
0.00231986
0.02034213
0.97733802
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
2
0
0
1
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.11
2.6
0
0.3
0
0
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.312713000000000
0.329060000000000
0.4545454545
0
3
3
2.5
2.5
10
5
0.1
1.15
0.23
1.55
0
0.9
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0
1

View File

@ -0,0 +1,251 @@
0.7080
0.2920
0.2650
0.6900
0.1405
0.0530
0.3061
0.3164
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87802262
0.22035174
-0.09837436
0
0.02085663
0.80322066
0.01700253
0
-0.00691779
-0.04971179
0.26336757
0
1
0
0
0
0
1
0
0
0
0
1
0
0.80571713
-0.04157272
0.02926461
0
-0.00404018
0.81985403
-0.00554317
0
0.00182215
0.01484294
0.98333491
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
0
0
0
1
0
0
1
0
0.000000
-0.225899
-0.043104
-0.910746
-1.959246
-2.949932
-3.757070
-4.394904
-5.004701
-5.626968
-6.255192
-6.882903
-7.437684
-7.959844
-8.502101
-8.929554
-9.368351
-9.735669
-9.927644
-10.179537
-10.243040
-10.254847
-10.131141
-9.849450
-9.500495
-8.960357
-8.329936
-7.476323
-6.510417
-5.282575
-3.753700
-2.054258
0.000000
2.098630
4.418835
6.986536
9.610226
12.293300
15.244568
18.280480
21.616618
25.033162
28.840004
32.754270
36.814856
41.321403
45.661293
50.879064
56.046196
61.378694
67.486026
73.458241
80.590109
88.125627
95.627782
104.166667
113.747337
124.735603
135.913338
149.536133
165.323573
182.462993
209.263393
240.325928
0.000000
0
2.4
0
1
0
0.20
1
0.20
1
0.3
2
1
2
3
2
0.4
1
0.6
1
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.3061
0.3124
0.4545454545
0
4
2.5
5
2.5
10
2
0.05
1.05
-0.2
1.55
0
1.5
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0.5
0.9

View File

@ -0,0 +1,251 @@
0.708000000000000
0.292000000000000
0.2650
0.6900
0.1405
0.0530
0.3061
0.3164
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87802262
0.22035174
-0.09837436
0
0.02085663
0.80322066
0.01700253
0
-0.00691779
-0.04971179
0.26336757
0
1
0
0
0
0
1
0
0
0
0
1
0
0.80571713
-0.04157272
0.02926461
0
-0.00404018
0.81985403
-0.00554317
0
0.00182215
0.01484294
0.98333491
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
1
0
0
1
1
0
1
0
0.000000
-0.225899
-0.043104
-0.910746
-1.959246
-2.949932
-3.757070
-4.394904
-5.004701
-5.626968
-6.255192
-6.882903
-7.437684
-7.959844
-8.502101
-8.929554
-9.368351
-9.735669
-9.927644
-10.179537
-10.243040
-10.254847
-10.131141
-9.849450
-9.500495
-8.960357
-8.329936
-7.476323
-6.510417
-5.282575
-3.753700
-2.054258
0.000000
2.098630
4.418835
6.986536
9.610226
12.293300
15.244568
18.280480
21.616618
25.033162
28.840004
32.754270
36.814856
41.321403
45.661293
50.879064
56.046196
61.378694
67.486026
73.458241
80.590109
88.125627
95.627782
104.166667
113.747337
124.735603
135.913338
149.536133
165.323573
182.462993
209.263393
240.325928
0.000000
0
2.4
0
1
0
0.20
1
0.20
1
0.3
2
1
2
3
2
0.4
1
0.6
1
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.3061
0.3124
0.4545454545
0
4
2.5
5
2.5
10
2
0.05
1.05
-0.2
1.55
0
1.5
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0.5
0.9

View File

@ -0,0 +1,251 @@
0.708000000000000
0.292000000000000
0.2650
0.6900
0.1405
0.0530
0.3061
0.3164
0.4166666667
0
10
0
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0.100000000000000
0.100000000000000
0.050000000000000
0
0
0
0
0
1
0
0
0
0.500000000000000
0.500000000000000
0.500000000000000
0.500000000000000
3
0
0
0
0.87802262
0.22035174
-0.09837436
0
0.02085663
0.80322066
0.01700253
0
-0.00691779
-0.04971179
0.26336757
0
1
0
0
0
0
1
0
0
0
0
1
0
0.80571713
-0.04157272
0.02926461
0
-0.00404018
0.81985403
-0.00554317
0
0.00182215
0.01484294
0.98333491
0
0
0
0
0
2.40000000000000
0
0
0
1.0000
420
151
1000
0.125
1.5
0.33333
2
0
0
1
0
0
1
0
0.000000
-0.225899
-0.043104
-0.910746
-1.959246
-2.949932
-3.757070
-4.394904
-5.004701
-5.626968
-6.255192
-6.882903
-7.437684
-7.959844
-8.502101
-8.929554
-9.368351
-9.735669
-9.927644
-10.179537
-10.243040
-10.254847
-10.131141
-9.849450
-9.500495
-8.960357
-8.329936
-7.476323
-6.510417
-5.282575
-3.753700
-2.054258
0.000000
2.098630
4.418835
6.986536
9.610226
12.293300
15.244568
18.280480
21.616618
25.033162
28.840004
32.754270
36.814856
41.321403
45.661293
50.879064
56.046196
61.378694
67.486026
73.458241
80.590109
88.125627
95.627782
104.166667
113.747337
124.735603
135.913338
149.536133
165.323573
182.462993
209.263393
240.325928
0.000000
0
2.4
0
1
0
0.20
1
0.20
1
0.3
2
1
2
3
2
0.4
1
0.6
1
0.640000000000000
0.330000000000000
0.300000000000000
0.600000000000000
0.150000000000000
0.060000000000000
0.3061
0.3124
0.4545454545
0
4
2.5
5
2.5
10
2
0.05
1.05
-0.2
1.55
0
1.5
0.1
0.1
90
265
0.35
0.143
-30
14
2
2
-0.5
1.5
0.5
0.9

BIN
proprietary/vendor/etc/irissoft.fw vendored Normal file

Binary file not shown.

View File

@ -0,0 +1,82 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["356"]
},
"bmi26x_0":{
"owner": "sns_bmi26x",
".accel":{
"owner": "sns_bmi26x",
".config":{
"owner": "sns_bmi26x",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "sns_bmi26x",
".config":{
"owner": "sns_bmi26x",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "sns_bmi26x",
".config":{
"owner": "sns_bmi26x",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bmi26x",
".config":{
"owner": "sns_bmi26x",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,37 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["356"]
},
"bmi26x_crt_state":{
"owner": "sns_bmi26x",
".crt_gain":{
"owner": "sns_bmi26x",
"gain_x":{ "type": "int", "ver": "0",
"data": "0"
},
"gain_y":{ "type": "int", "ver": "0",
"data": "1"
},
"gain_z":{ "type": "int", "ver": "0",
"data": "2"
}
}
},
"bmi26x_ois_cfg":{
"owner": "sns_bmi26x",
".ois_cfg":{
"owner": "sns_bmi26x",
"enable":{ "type": "int", "ver": "0",
"data": "1"
},
"range_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"spi4":{ "type": "int", "ver": "0",
"data": "1"
}
}
}
}

View File

@ -0,0 +1,22 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["356"]
},
"bmi26x_crt_config":{
"owner": "sns_bmi26x",
".crt_cfg":{
"owner": "sns_bmi26x",
"crt_itvl":{ "type": "int", "ver": "0",
"data": "2"
},
"repeate_on_error":{ "type": "int", "ver": "0",
"data": "1"
},
"reserved":{ "type": "int", "ver": "0",
"data": "2"
}
}
}
}

View File

@ -0,0 +1,46 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["356"]
},
"bmp380_0":{
"owner": "sns_bmp380",
".temp":{
"owner": "sns_bmp380",
".config":{
"owner": "sns_bmp380",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".pressure":{
"owner": "sns_bmp380",
".config":{
"owner": "sns_bmp380",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,98 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "RCM", "HDK", "IDP", "QRD"],
"soc_id": ["356"],
"hw_id": ["11"]
},
"sx9324_0_platform":{
"owner": "sns_sx9324",
".config":{
"owner": "sns_sx9324",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "40"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "51"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".placement":{
"owner": "sns_sx9324",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,98 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "RCM", "HDK", "IDP", "QRD"],
"soc_id": ["356"],
"hw_id": ["11"]
},
"sx9324up_0_platform":{
"owner": "sns_sx9324up",
".config":{
"owner": "sns_sx9324up",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "44"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "113"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".placement":{
"owner": "sns_sx9324up",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,223 @@
{
"config":
{
"hw_platform": ["MTP", "Surf", "RCM"],
"soc_id": ["356"]
},
"ak0991x_0":{
"owner": "sns_ak0991x",
".mag":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
},
".config_2":{
"owner": "sns_ak0991x",
"use_fifo":{ "type": "int", "ver": "0",
"data": "0"
},
"nsf":{ "type": "int", "ver": "0",
"data": "0"
},
"sdr":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"ak0991x_0_platform":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "12"
},
"i3c_address":{ "type": "int", "ver": "0",
"data": "30"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_ak0991x",
"x":{ "type": "str", "ver": "0",
"data": "+y"
},
"y":{ "type": "str", "ver": "0",
"data": "-x"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".mag":{
"owner": "sns_ak0991x",
".fac_cal":{
"owner": "sns_ak0991x",
".corr_mat":{
"owner": "sns_ak0991x",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_ak0991x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".fac_cal_2":{
"owner": "sns_ak0991x",
".corr_mat":{
"owner": "sns_ak0991x",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_ak0991x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_ak0991x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,256 @@
{
"config":{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["356"]
},
"bmi26x_0_platform":{
"owner": "sns_bmi26x",
".config":{
"owner": "sns_bmi26x",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "3"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "123"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "3"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_bmi26x",
"x":{ "type": "str", "ver": "0",
"data": "+y"
},
"y":{ "type": "str", "ver": "0",
"data": "-x"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".gyro":{
"owner": "sns_bmi26x",
".fac_cal":{
"owner": "sns_bmi26x",
".corr_mat":{
"owner": "sns_bmi26x",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmi26x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "sns_bmi26x",
".fac_cal":{
"owner": "sns_bmi26x",
".corr_mat":{
"owner": "sns_bmi26x",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmi26x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bmi26x",
".fac_cal":
{
"owner": "sns_bmi26x",
".scale":{
"owner": "sns_bmi26x",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmi26x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "sns_bmi26x",
".config":{
"owner": "sns_bmi26x",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.583"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.04"
}
}
},
".lowg":{
"owner": "sns_bmi26x",
".config":{
"owner": "sns_bmi26x",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.583"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.01"
}
}
},
".placement":{
"owner": "sns_bmi26x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,119 @@
{
"config":{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["356"]
},
"bmp380_0_platform":{
"owner": "sns_bmp380",
".config":{
"owner": "sns_bmp380",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "4"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "118"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".temp":{
"owner": "sns_bmp380",
".fac_cal":
{
"owner": "sns_bmp380",
".scale":{
"owner": "sns_bmp380",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmp380",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".pressure":{
"owner": "sns_bmp380",
".fac_cal":
{
"owner": "sns_bmp380",
".scale":{
"owner": "sns_bmp380",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmp380",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_bmp380",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,117 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "RCM", "QRD", "HDK"],
"soc_id": ["356"]
},
"bu52053nvx_0":{
"owner": "sns_bu52053nvx",
".hall":{
"owner": "sns_bu52053nvx",
".config":{
"owner": "sns_bu52053nvx",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "1"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"bu52053nvx_0_platform":{
"owner": "sns_bu52053nvx",
".config":{
"owner": "sns_bu52053nvx",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "121"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "2"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".placement":{
"owner": "sns_bu52053nvx",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,186 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "RCM", "QRD", "HDK"],
"soc_id": ["356"]
},
"default_sensors": {
"owner": "suid",
".accel": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".gyro": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".mag": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".motion_detect": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".sensor_temperature": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
},
".attr_1": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "0" },
"val": { "type": "str", "ver": "0", "data": "lsm6dst" }
}
},
".proximity": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "16" },
"val": { "type": "int", "ver": "0", "data": "1" }
}
},
".ambient_light": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "16" },
"val": { "type": "int", "ver": "0", "data": "1" }
}
},
".sar": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "16" },
"val": { "type": "int", "ver": "0", "data": "1" }
}
},
".accel_cal": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".gyro_cal": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".mag_cal": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".amd": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".tilt": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".gyro_rot_matrix": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".gravity": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".game_rv": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".geomag_rv": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".fmv": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".rotv": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
}
}
}

View File

@ -0,0 +1,22 @@
{
"config":{
},
"sns_dynamic_sensors":
{
"owner":"sns_dynamic_sensors",
"sns_bring_to_ear_register":
{
"type" : "str",
"ver" : "0",
"data" : "sns_bring_to_ear.so"
},
"sns_wigig_register":
{
"type" : "str",
"ver" : "0",
"data" : "wigig_sensing.so"
}
}
}

View File

@ -0,0 +1,238 @@
{
"config":
{
"hw_platform": ["HDK"],
"soc_id": ["356"]
},
"ak0991x_0":{
"owner": "sns_ak0991x",
".mag":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
},
".config_2":{
"owner": "sns_ak0991x",
"use_fifo":{ "type": "int", "ver": "0",
"data": "0"
},
"nsf":{ "type": "int", "ver": "0",
"data": "0"
},
"sdr":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"ak0991x_0_platform":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"bus_type":{ "type": "int", "ver": "0",
"data": "3"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "12"
},
"i3c_address":{ "type": "int", "ver": "0",
"data": "30"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "12500"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "113"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_ak0991x",
"x":{ "type": "str", "ver": "0",
"data": "-x"
},
"y":{ "type": "str", "ver": "0",
"data": "-y"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".mag":{
"owner": "sns_ak0991x",
".fac_cal":{
"owner": "sns_ak0991x",
".corr_mat":{
"owner": "sns_ak0991x",
"0_0":{ "type": "flt", "ver": "0",
"data": "0.9442"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "-0.0118"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0553"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "-0.0118"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0031"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0098"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0553"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0098"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0527"
}
},
".bias":{
"owner": "sns_ak0991x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".fac_cal_2":{
"owner": "sns_ak0991x",
".corr_mat":{
"owner": "sns_ak0991x",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_ak0991x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_ak0991x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,322 @@
{
"config":{
"hw_platform": ["HDK"],
"soc_id": ["356"]
},
"lsm6dst_0":{
"owner": "lsm6dst",
".accel":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"lsm6dst_0_platform":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"bus_type":{ "type": "int", "ver": "0",
"data": "3"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "106"
},
"i3c_address":{ "type": "int", "ver": "0",
"data": "10"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "12500"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "123"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "3"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
},
"min_odr":{ "type": "int", "ver": "0",
"data": "20"
},
"max_odr":{ "type": "int", "ver": "0",
"data": "500"
}
},
".orient":{
"owner": "lsm6dst",
"x":{ "type": "str", "ver": "0",
"data": "+x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".gyro":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "lsm6dst",
".fac_cal":
{
"owner": "lsm6dst",
".scale":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "lsm6dst",
"0":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,322 @@
{
"config":{
"hw_platform": ["HDK"],
"soc_id": ["356"]
},
"lsm6dst_1":{
"owner": "lsm6dst",
".accel":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"lsm6dst_1_platform":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"bus_type":{ "type": "int", "ver": "0",
"data": "3"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "107"
},
"i3c_address":{ "type": "int", "ver": "0",
"data": "20"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "12500"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "112"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "3"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "1"
},
"min_odr":{ "type": "int", "ver": "0",
"data": "20"
},
"max_odr":{ "type": "int", "ver": "0",
"data": "500"
}
},
".orient":{
"owner": "lsm6dst",
"x":{ "type": "str", "ver": "0",
"data": "+x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".gyro":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "lsm6dst",
".fac_cal":
{
"owner": "lsm6dst",
".scale":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "lsm6dst",
"0":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,9 @@
{
"config": {
"hw_platform": ["MTP", "Surf", "RCM", "HDK"],
"soc_id": ["356"]
},
"sns_irq": {
"owner": "sns_irq"
}
}

View File

@ -0,0 +1,173 @@
{
"config": {
"hw_platform": ["MTP", "Surf", "RCM", "QRD", "HDK"],
"soc_id": ["356"]
},
"lps22hh_0":{
"owner": "lps22hh",
".presssure":{
"owner": "lps22hh",
".config":{
"owner": "lps22hh",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "lps22hh",
".config":{
"owner": "lps22hh",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"lps22hh_0_platform":{
"owner": "lps22hh",
".config":{
"owner": "lps22hh",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "92"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "129"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".pressure":{
"owner": "lps22hh",
".fac_cal":
{
"owner": "lps22hh",
".scale":{
"owner": "lps22hh",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lps22hh",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "lps22hh",
".fac_cal":
{
"owner": "lps22hh",
".scale":{
"owner": "lps22hh",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lps22hh",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "lps22hh",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,288 @@
{
"config":{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["356"]
},
"lsm6dsm_0_platform":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "3"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "123"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "3"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "lsm6dsm",
"x":{ "type": "str", "ver": "0",
"data": "-x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".gyro":{
"owner": "lsm6dsm",
".fac_cal":{
"owner": "lsm6dsm",
".corr_mat":{
"owner": "lsm6dsm",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dsm",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".nom_val":
{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
}
}
},
".accel":{
"owner": "lsm6dsm",
".fac_cal":{
"owner": "lsm6dsm",
".corr_mat":{
"owner": "lsm6dsm",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dsm",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".nom_val":
{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
}
}
},
".temp":{
"owner": "lsm6dsm",
".fac_cal":
{
"owner": "lsm6dsm",
".scale":{
"owner": "lsm6dsm",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dsm",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"thresh":{ "type": "flt", "ver": "0",
"data": "1.2264"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".ff":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"thresh":{ "type": "flt", "ver": "0",
"data": "2.15"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0048"
}
}
},
".hs":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"thresh":{ "type": "flt", "ver": "0",
"data": "17.15"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "lsm6dsm",
"0":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,322 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "RCM"],
"soc_id": ["356"]
},
"lsm6dst_0":{
"owner": "lsm6dst",
".accel":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"lsm6dst_0_platform":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"bus_type":{ "type": "int", "ver": "0",
"data": "3"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "106"
},
"i3c_address":{ "type": "int", "ver": "0",
"data": "10"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "12500"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "123"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "3"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
},
"min_odr":{ "type": "int", "ver": "0",
"data": "20"
},
"max_odr":{ "type": "int", "ver": "0",
"data": "500"
}
},
".orient":{
"owner": "lsm6dst",
"x":{ "type": "str", "ver": "0",
"data": "-x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".gyro":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "lsm6dst",
".fac_cal":
{
"owner": "lsm6dst",
".scale":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "lsm6dst",
"0":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,322 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "RCM"],
"soc_id": ["356"]
},
"lsm6dst_1":{
"owner": "lsm6dst",
".accel":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"lsm6dst_1_platform":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"bus_type":{ "type": "int", "ver": "0",
"data": "3"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "107"
},
"i3c_address":{ "type": "int", "ver": "0",
"data": "20"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "12500"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "112"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "3"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "1"
},
"min_odr":{ "type": "int", "ver": "0",
"data": "20"
},
"max_odr":{ "type": "int", "ver": "0",
"data": "500"
}
},
".orient":{
"owner": "lsm6dst",
"x":{ "type": "str", "ver": "0",
"data": "-x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".gyro":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "lsm6dst",
".fac_cal":
{
"owner": "lsm6dst",
".scale":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "lsm6dst",
"0":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,141 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf","HDK"],
"soc_id": ["356"]
},
"mmc5603x_0_platform":{
"owner": "sns_mmc5603x",
".config":{
"owner": "sns_mmc5603x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "48"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_mmc5603x",
"x":{ "type": "str", "ver": "0",
"data": "-y"
},
"y":{ "type": "str", "ver": "0",
"data": "+x"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".mag":{
"owner": "sns_mmc5603x",
".fac_cal":{
"owner": "sns_mmc5603x",
".corr_mat":{
"owner": "sns_mmc5603x",
"0_0":{ "type": "flt", "ver": "0",
"data": "0.994105"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.102385"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.035570"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.013695"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "0.937495"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.060840"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.010165"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.049450"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.147065"
}
},
".bias":{
"owner": "sns_mmc5603x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_mmc5603x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,108 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "RCM", "QRD", "HDK"],
"soc_id": ["356"]
},
"power":{
"owner": "power_manager",
".island":{
"owner": "power_manager",
"enable_island":{ "type": "int", "ver": "0",
"data": "1"
}
},
".mcps":{
"owner": "power_manager",
"min_svs":{ "type": "int", "ver": "0",
"data": "269"
},
"low_svs":{ "type": "int", "ver": "0",
"data": "384"
},
"svs":{ "type": "int", "ver": "0",
"data": "499"
},
"nominal":{ "type": "int", "ver": "0",
"data": "806"
},
"turbo":{ "type": "int", "ver": "0",
"data": "998"
}
},
".gpio":{
"owner": "power_manager",
".gpio_16":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "16"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_17":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "17"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_18":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "18"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_19":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "19"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,235 @@
{
"config":
{
"hw_platform": ["QRD"],
"soc_id": ["356"]
},
"ak0991x_0":{
"owner": "sns_ak0991x",
".mag":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
},
".config_2":{
"owner": "sns_ak0991x",
"use_fifo":{ "type": "int", "ver": "0",
"data": "0"
},
"nsf":{ "type": "int", "ver": "0",
"data": "0"
},
"sdr":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"ak0991x_0_platform":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "12"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "113"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_ak0991x",
"x":{ "type": "str", "ver": "0",
"data": "+x"
},
"y":{ "type": "str", "ver": "0",
"data": "-y"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".mag":{
"owner": "sns_ak0991x",
".fac_cal":{
"owner": "sns_ak0991x",
".corr_mat":{
"owner": "sns_ak0991x",
"0_0":{ "type": "flt", "ver": "0",
"data": "0.9982"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "-0.0451"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "-0.0029"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "-0.0451"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0022"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "-0.0027"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "-0.0029"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "-0.0027"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "0.9996"
}
},
".bias":{
"owner": "sns_ak0991x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".fac_cal_2":{
"owner": "sns_ak0991x",
".corr_mat":{
"owner": "sns_ak0991x",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_ak0991x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_ak0991x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,319 @@
{
"config":{
"hw_platform": ["QRD"],
"soc_id": ["356"]
},
"lsm6dst_0":{
"owner": "lsm6dst",
".accel":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"lsm6dst_0_platform":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "3"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "123"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "3"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
},
"min_odr":{ "type": "int", "ver": "0",
"data": "20"
},
"max_odr":{ "type": "int", "ver": "0",
"data": "500"
}
},
".orient":{
"owner": "lsm6dst",
"x":{ "type": "str", "ver": "0",
"data": "x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "z"
}
},
".gyro":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "lsm6dst",
".fac_cal":{
"owner": "lsm6dst",
".corr_mat":{
"owner": "lsm6dst",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "lsm6dst",
".fac_cal":
{
"owner": "lsm6dst",
".scale":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6dst",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "lsm6dst",
"0":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.1"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,323 @@
{
"config":{
"hw_platform": ["QRD"],
"soc_id": ["356"]
},
"sx932x_0":{
"owner": "sns_sx932x",
".sar":{
"owner": "sns_sx932x",
".config":{
"owner": "sns_sx932x",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"sx932x_0_platform":{
"owner": "sns_sx932x",
".config":{
"owner": "sns_sx932x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "40"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "64"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "4"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".temp":{
"owner": "sns_sx932x",
".fac_cal":
{
"owner": "sns_sx932x",
".scale":{
"owner": "sns_sx932x",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_sx932x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".sar":{
"owner": "sns_sx932x",
".fac_cal":
{
"owner": "sns_sx932x",
".scale":{
"owner": "sns_sx932x",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_sx932x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_sx932x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".thresh":{
"owner": "sns_sx932x",
"threshold_0":{ "type": "flt", "ver": "0",
"data": "109.0"
},
"threshold_1":{ "type": "flt", "ver": "0",
"data": "109.0"
},
"threshold_2":{ "type": "flt", "ver": "0",
"data": "109.0"
},
"threshold_3":{ "type": "flt", "ver": "0",
"data": "109.0"
}
},
".offset":{
"owner": "sns_sx932x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".register":{
"owner": "sns_sx932x",
"Is_config":{ "type": "int", "ver": "0",
"data": "1"
},
"RegAFEPH0_0x28":{ "type": "int", "ver": "0",
"data": "40"
},
"RegAFEPH0":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFEPH1_0x29":{ "type": "int", "ver": "0",
"data": "41"
},
"RegAFEPH1":{ "type": "int", "ver": "0",
"data": "1"
},
"RegAFEPH2_0x2A":{ "type": "int", "ver": "0",
"data": "42"
},
"RegAFEPH2":{ "type": "int", "ver": "0",
"data": "26"
},
"RegAFEPH3_0x2B":{ "type": "int", "ver": "0",
"data": "43"
},
"RegAFEPH3":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFECTR4_0x24":{ "type": "int", "ver": "0",
"data": "36"
},
"RegAFECTR4":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFECTR7_0x27":{ "type": "int", "ver": "0",
"data": "39"
},
"RegAFECTR7":{ "type": "int", "ver": "0",
"data": "71"
},
"RegAFECTR3_0x23":{ "type": "int", "ver": "0",
"data": "35"
},
"RegAFECTR3":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFECTR6_0x26":{ "type": "int", "ver": "0",
"data": "38"
},
"RegAFECTR6":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFECTR8_0x2C":{ "type": "int", "ver": "0",
"data": "44"
},
"RegAFECTR8":{ "type": "int", "ver": "0",
"data": "18"
},
"RegAFECTR9_0x2D":{ "type": "int", "ver": "0",
"data": "45"
},
"RegAFECTR9":{ "type": "int", "ver": "0",
"data": "6"
},
"RegPROCTR0_0x30":{ "type": "int", "ver": "0",
"data": "48"
},
"RegPROCTR0":{ "type": "int", "ver": "0",
"data": "0"
},
"RegPROCTR1_0x31":{ "type": "int", "ver": "0",
"data": "49"
},
"RegPROCTR1":{ "type": "int", "ver": "0",
"data": "33"
},
"RegPROCTR4_0x34":{ "type": "int", "ver": "0",
"data": "52"
},
"RegPROCTR4":{ "type": "int", "ver": "0",
"data": "13"
},
"RegPROCTR5_0x35":{ "type": "int", "ver": "0",
"data": "53"
},
"RegPROCTR5":{ "type": "int", "ver": "0",
"data": "8"
},
"RegPROCTR6_0x36":{ "type": "int", "ver": "0",
"data": "54"
},
"RegPROCTR6":{ "type": "int", "ver": "0",
"data": "0"
},
"RegPROCTR7_0x37":{ "type": "int", "ver": "0",
"data": "55"
},
"RegPROCTR7":{ "type": "int", "ver": "0",
"data": "10"
},
"RegADVCTR2_0x42":{ "type": "int", "ver": "0",
"data": "66"
},
"RegADVCTR2":{ "type": "int", "ver": "0",
"data": "0"
},
"RegADVCTR3_0x43":{ "type": "int", "ver": "0",
"data": "67"
},
"RegADVCTR3":{ "type": "int", "ver": "0",
"data": "0"
},
"RegADVCTR4_0x44":{ "type": "int", "ver": "0",
"data": "68"
},
"RegADVCTR4":{ "type": "int", "ver": "0",
"data": "0"
},
"RegIRFQSrc_0x00":{ "type": "int", "ver": "0",
"data": "0"
},
"RegIRFQSrc":{ "type": "int", "ver": "0",
"data": "0"
},
"RegIrqMsk_0x05":{ "type": "int", "ver": "0",
"data": "5"
},
"RegIrqMsk":{ "type": "int", "ver": "0",
"data": "0"
},
"RegGnrlCtrl1_0x11":{ "type": "int", "ver": "0",
"data": "17"
},
"RegGnrlCtrl1":{ "type": "int", "ver": "0",
"data": "36"
}
}
}
}

View File

@ -0,0 +1,265 @@
{
"config":{
"hw_platform": ["QRD"],
"platform_version": ["65536"],
"soc_id": ["356"]
},
"tmd2725_0":{
"owner": "sns_tmd2725",
".als":{
"owner": "sns_tmd2725",
".config":{
"owner": "sns_tmd2725",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".prox":{
"owner": "sns_tmd2725",
".config":{
"owner": "sns_tmd2725",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"tmd2725_0_platform":{
"owner": "sns_tmd2725",
".config":{
"owner": "sns_tmd2725",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "57"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "122"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_tmd2725",
".fac_cal":{
"owner": "sns_tmd2725",
"scale":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "2000.0"
}
},
".custom_cal":{
"owner": "sns_tmd2725",
"l0_factor":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"l1_factor":{ "type": "flt", "ver": "0",
"data": "260.0"
},
"l2_factor":{ "type": "flt", "ver": "0",
"data": "800.0"
},
"l3_factor":{ "type": "flt", "ver": "0",
"data": "270.0"
},
"d_factor":{ "type": "flt", "ver": "0",
"data": "750.0"
}
},
".def_config":{
"owner": "sns_tmd2725",
"a_time":{ "type": "flt", "ver": "0",
"data": "90000.0"
},
"w_time":{ "type": "flt", "ver": "0",
"data": "28.0"
},
"w_long":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"a_gain":{ "type": "flt", "ver": "0",
"data": "16000.0"
},
"a_pers":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"a_upperThrs":{ "type": "flt", "ver": "0",
"data": "5.0"
},
"a_lowerThrs":{ "type": "flt", "ver": "0",
"data": "5.0"
},
"a_thrsWidth":{ "type": "flt", "ver": "0",
"data": "10.0"
}
},
".cal_target":{
"owner": "sns_tmd2725",
"lux_cal_target":{ "type": "flt", "ver": "0",
"data": "300.0"
},
"lux_cal_target_tolerance":{ "type": "flt", "ver": "0",
"data": "30.0"
}
}
},
".prox":{
"owner": "sns_tmd2725",
".fac_cal":{
"owner": "sns_tmd2725",
"near_threshold":{ "type": "flt", "ver": "0",
"data": "60.0"
},
"far_threshold":{ "type": "flt", "ver": "0",
"data": "19.0"
}
},
".proxOffset_hWcal":{
"owner": "sns_tmd2725",
"proxOffset":{ "type": "flt", "ver": "0",
"data": "7.0"
},
"proxOffsetSign":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".def_config":{
"owner": "sns_tmd2725",
"ptime":{ "type": "flt", "ver": "0",
"data": "49896.0"
},
"pwait_time":{ "type": "flt", "ver": "0",
"data": "28.0"
},
"ppulse_len":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ppulse":{ "type": "flt", "ver": "0",
"data": "17.0"
},
"pgain":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"pldrive":{ "type": "flt", "ver": "0",
"data": "24.0"
},
"ppers":{ "type": "flt", "ver": "0",
"data": "2.0"
},
"pupper_thrs":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"plower_thrs":{ "type": "flt", "ver": "0",
"data": "255.0"
},
"poffset_sign":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"poffset_L":{ "type": "flt", "ver": "0",
"data": "50.0"
},
"pBinSearch":{ "type": "flt", "ver": "0",
"data": "2.0"
}
}
},
".placement":{
"owner": "sns_tmd2725",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,165 @@
{
"config":
{
"hw_platform": ["MTP", "Surf", "RCM"],
"soc_id": ["356"]
},
"shtw2_0":{
"owner": "sns_shtw2",
".ambient_temperature":{
"owner": "sns_shtw2",
".config":{
"owner": "sns_shtw2",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".humidity":{
"owner": "sns_shtw2",
".config":{
"owner": "sns_shtw2",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"shtw2_0_platform":{
"owner": "sns_shtw2",
".config":{
"owner": "sns_shtw2",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "112"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".ambient_temperature":{
"owner": "sns_shtw2",
".fac_cal":
{
"owner": "sns_shtw2",
".scale":{
"owner": "sns_shtw2",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_shtw2",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".humidity":{
"owner": "sns_shtw2",
".fac_cal":
{
"owner": "sns_shtw2",
".scale":{
"owner": "sns_shtw2",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_shtw2",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_shtw2",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".threshold":{
"owner": "sns_shtw2",
"0":{ "type": "flt", "ver": "0",
"data": "0.5"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.5"
}
}
}
}

View File

@ -0,0 +1,99 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "QRD", "HDK"],
"soc_id": ["356"]
},
"stk2232_0_platform":{
"owner": "sns_stk2232",
".config":{
"owner": "sns_stk2232",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "70"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "136"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_stk2232",
".fac_cal":{
"owner": "sns_stk2232",
"scale":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "5000.0"
},
"rowcoe":{ "type": "flt", "ver": "0",
"data": "466.1"
}
}
},
".ps":{
"owner": "sns_stk2232",
".fac_cal":{
"owner": "sns_stk2232",
"near_threshold":{ "type": "flt", "ver": "0",
"data": "250.0"
},
"far_threshold":{ "type": "flt", "ver": "0",
"data": "200.0"
},
"offset1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"offset2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1cm_thrd":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3cm_thrd":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}
}

View File

@ -0,0 +1,72 @@
{
"config": {
"hw_platform": [ "HDK", "MTP", "Dragon", "Surf" ],
"soc_id": [ "356" ]
},
"stk3a6x_0_platform":{
"owner": "sns_stk3a6x",
".config":{
"owner": "sns_stk3a6x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "72"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_stk3a6x",
".fac_cal":{
"owner": "sns_stk3a6x",
"scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "5000.0"
}
}
},
".ps":{
"owner": "sns_stk3a6x",
".fac_cal":{
"owner": "sns_stk3a6x",
"near_threshold":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"far_threshold":{ "type": "flt", "ver": "0",
"data": "900.0"
},
"ctir_config":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}
}

View File

@ -0,0 +1,250 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["356"]
},
"bma4_0":{
"owner": "sns_bma4",
".accel":{
"owner": "sns_bma4",
".config":{
"owner": "sns_bma4",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "sns_bma4",
".config":{
"owner": "sns_bma4",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bma4",
".config":{
"owner": "sns_bma4",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"bma4_0_platform":{
"owner": "sns_bma4",
".config":{
"owner": "sns_bma4",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "3"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "122"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "1"
}
},
".orient":{
"owner": "sns_bma4",
"x":{ "type": "str", "ver": "0",
"data": "-y"
},
"y":{ "type": "str", "ver": "0",
"data": "-x"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".accel":{
"owner": "sns_bma4",
".fac_cal":{
"owner": "sns_bma4",
".corr_mat":{
"owner": "sns_bma4",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bma4",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bma4",
".fac_cal":
{
"owner": "sns_bma4",
".scale":{
"owner": "sns_bma4",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bma4",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "sns_bma4",
".config":{
"owner": "sns_bma4",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "sns_bma4",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,232 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["356"]
},
"bmg160_0":{
"owner": "sns_bmg160",
".gyro":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"bmg160_0_platform":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "3"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "1"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "123"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "1"
}
},
".orient":{
"owner": "sns_bmg160",
"x":{ "type": "str", "ver": "0",
"data": "-y"
},
"y":{ "type": "str", "ver": "0",
"data": "-x"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".gyro":{
"owner": "sns_bmg160",
".fac_cal":{
"owner": "sns_bmg160",
".corr_mat":{
"owner": "sns_bmg160",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bmg160",
".fac_cal":
{
"owner": "sns_bmg160",
".scale":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "sns_bmg160",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,340 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["356"]
},
"icm4x6xx_0":{
"owner": "icm4x6xx",
".accel":{
"owner": "icm4x6xx",
".config":{
"owner": "icm4x6xx",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "icm4x6xx",
".config":{
"owner": "icm4x6xx",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "7"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "icm4x6xx",
".config":{
"owner": "icm4x6xx",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".freefall":{
"owner": "icm4x6xx",
".config":{
"owner": "icm4x6xx",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "icm4x6xx",
".config":{
"owner": "icm4x6xx",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"icm4x6xx_0_platform":{
"owner": "icm4x6xx",
".config":{
"owner": "icm4x6xx",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "6"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "112"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "4"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "icm4x6xx",
"x":{ "type": "str", "ver": "0",
"data": "-x"
},
"y":{ "type": "str", "ver": "0",
"data": "y"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".gyro":{
"owner": "icm4x6xx",
".fac_cal":{
"owner": "icm4x6xx",
".corr_mat":{
"owner": "icm4x6xx",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "icm4x6xx",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "icm4x6xx",
".config":{
"owner": "icm4x6xx",
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
}
},
".fac_cal":{
"owner": "icm4x6xx",
".corr_mat":{
"owner": "icm4x6xx",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "icm4x6xx",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "icm4x6xx",
".fac_cal":
{
"owner": "icm4x6xx",
".scale":{
"owner": "icm4x6xx",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "icm4x6xx",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "icm4x6xx",
".config":{
"owner": "icm4x6xx",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "icm4x6xx",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,249 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["356"]
},
"rpr0521rs_0":{
"owner": "sns_rpr0521rs",
".ambient_light":{
"owner": "sns_rpr0521rs",
".config":{
"owner": "sns_rpr0521rs",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".proximity":{
"owner": "sns_rpr0521rs",
".config":{
"owner": "sns_rpr0521rs",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"rpr0521rs_0_platform":{
"owner": "sns_rpr0521rs",
".config":{
"owner": "sns_rpr0521rs",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "56"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "89"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".ambient_light":{
"owner": "sns_rpr0521rs",
".fac_cal":{
"owner": "sns_rpr0521rs",
".coefficient":{
"owner": "sns_rpr0521rs",
"d0_0":{ "type": "flt", "ver": "0",
"data": "1.682"
},
"d0_1":{ "type": "flt", "ver": "0",
"data": "0.644"
},
"d0_2":{ "type": "flt", "ver": "0",
"data": "0.756"
},
"d0_3":{ "type": "flt", "ver": "0",
"data": "0.766"
},
"d1_0":{ "type": "flt", "ver": "0",
"data": "1.877"
},
"d1_1":{ "type": "flt", "ver": "0",
"data": "0.132"
},
"d1_2":{ "type": "flt", "ver": "0",
"data": "0.243"
},
"d1_3":{ "type": "flt", "ver": "0",
"data": "0.250"
},
"judg_0":{ "type": "flt", "ver": "0",
"data": "0.595"
},
"judg_1":{ "type": "flt", "ver": "0",
"data": "0.595"
},
"judg_2":{ "type": "flt", "ver": "0",
"data": "1.352"
},
"judg_3":{ "type": "flt", "ver": "0",
"data": "3.053"
}
},
".scale":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".fac_cal_init":{
"owner": "sns_rpr0521rs",
".init_scale":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".init_bias":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".proximity":{
"owner": "sns_rpr0521rs",
".fac_cal":{
"owner": "sns_rpr0521rs",
".near_threshold":{
"owner": "sns_rpr0521rs",
"thres":{ "type": "flt", "ver": "0",
"data": "180"
}
},
".far_threshold":{
"owner": "sns_rpr0521rs",
"thres":{ "type": "flt", "ver": "0",
"data": "98"
}
},
".scale":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".fac_cal_init":{
"owner": "sns_rpr0521rs",
".init_bias":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_rpr0521rs",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,98 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "RCM", "HDK", "IDP", "QRD"],
"soc_id": ["356"],
"hw_id": ["12", "13", "14", "15", "51", "52", "53", "54", "21", "23"]
},
"sx9324_0_platform":{
"owner": "sns_sx9324",
".config":{
"owner": "sns_sx9324",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "44"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "51"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".placement":{
"owner": "sns_sx9324",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,98 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "RCM", "HDK", "IDP", "QRD"],
"soc_id": ["356"],
"hw_id": ["12", "13", "14", "15", "51", "52", "53", "54", "21", "23"]
},
"sx9324up_0_platform":{
"owner": "sns_sx9324up",
".config":{
"owner": "sns_sx9324up",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "40"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "113"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".placement":{
"owner": "sns_sx9324up",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,323 @@
{
"config":{
"hw_platform": ["MTP", "RCM", "Surf"],
"soc_id": ["356"]
},
"sx932x_0":{
"owner": "sns_sx932x",
".sar":{
"owner": "sns_sx932x",
".config":{
"owner": "sns_sx932x",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"sx932x_0_platform":{
"owner": "sns_sx932x",
".config":{
"owner": "sns_sx932x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "44"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "64"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "4"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".temp":{
"owner": "sns_sx932x",
".fac_cal":
{
"owner": "sns_sx932x",
".scale":{
"owner": "sns_sx932x",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_sx932x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".sar":{
"owner": "sns_sx932x",
".fac_cal":
{
"owner": "sns_sx932x",
".scale":{
"owner": "sns_sx932x",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_sx932x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_sx932x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".thresh":{
"owner": "sns_sx932x",
"threshold_0":{ "type": "flt", "ver": "0",
"data": "16.0"
},
"threshold_1":{ "type": "flt", "ver": "0",
"data": "16.0"
},
"threshold_2":{ "type": "flt", "ver": "0",
"data": "16.0"
},
"threshold_3":{ "type": "flt", "ver": "0",
"data": "16.0"
}
},
".offset":{
"owner": "sns_sx932x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".register":{
"owner": "sns_sx932x",
"Is_config":{ "type": "int", "ver": "0",
"data": "1"
},
"RegAFEPH0_0x28":{ "type": "int", "ver": "0",
"data": "40"
},
"RegAFEPH0":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFEPH1_0x29":{ "type": "int", "ver": "0",
"data": "41"
},
"RegAFEPH1":{ "type": "int", "ver": "0",
"data": "1"
},
"RegAFEPH2_0x2A":{ "type": "int", "ver": "0",
"data": "42"
},
"RegAFEPH2":{ "type": "int", "ver": "0",
"data": "39"
},
"RegAFEPH3_0x2B":{ "type": "int", "ver": "0",
"data": "43"
},
"RegAFEPH3":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFECTR4_0x24":{ "type": "int", "ver": "0",
"data": "36"
},
"RegAFECTR4":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFECTR7_0x27":{ "type": "int", "ver": "0",
"data": "39"
},
"RegAFECTR7":{ "type": "int", "ver": "0",
"data": "71"
},
"RegAFECTR3_0x23":{ "type": "int", "ver": "0",
"data": "35"
},
"RegAFECTR3":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFECTR6_0x26":{ "type": "int", "ver": "0",
"data": "38"
},
"RegAFECTR6":{ "type": "int", "ver": "0",
"data": "0"
},
"RegAFECTR8_0x2C":{ "type": "int", "ver": "0",
"data": "44"
},
"RegAFECTR8":{ "type": "int", "ver": "0",
"data": "18"
},
"RegAFECTR9_0x2D":{ "type": "int", "ver": "0",
"data": "45"
},
"RegAFECTR9":{ "type": "int", "ver": "0",
"data": "6"
},
"RegPROCTR0_0x30":{ "type": "int", "ver": "0",
"data": "48"
},
"RegPROCTR0":{ "type": "int", "ver": "0",
"data": "0"
},
"RegPROCTR1_0x31":{ "type": "int", "ver": "0",
"data": "49"
},
"RegPROCTR1":{ "type": "int", "ver": "0",
"data": "33"
},
"RegPROCTR4_0x34":{ "type": "int", "ver": "0",
"data": "52"
},
"RegPROCTR4":{ "type": "int", "ver": "0",
"data": "13"
},
"RegPROCTR5_0x35":{ "type": "int", "ver": "0",
"data": "53"
},
"RegPROCTR5":{ "type": "int", "ver": "0",
"data": "8"
},
"RegPROCTR6_0x36":{ "type": "int", "ver": "0",
"data": "54"
},
"RegPROCTR6":{ "type": "int", "ver": "0",
"data": "0"
},
"RegPROCTR7_0x37":{ "type": "int", "ver": "0",
"data": "55"
},
"RegPROCTR7":{ "type": "int", "ver": "0",
"data": "10"
},
"RegADVCTR2_0x42":{ "type": "int", "ver": "0",
"data": "66"
},
"RegADVCTR2":{ "type": "int", "ver": "0",
"data": "0"
},
"RegADVCTR3_0x43":{ "type": "int", "ver": "0",
"data": "67"
},
"RegADVCTR3":{ "type": "int", "ver": "0",
"data": "0"
},
"RegADVCTR4_0x44":{ "type": "int", "ver": "0",
"data": "68"
},
"RegADVCTR4":{ "type": "int", "ver": "0",
"data": "0"
},
"RegIRFQSrc_0x00":{ "type": "int", "ver": "0",
"data": "0"
},
"RegIRFQSrc":{ "type": "int", "ver": "0",
"data": "0"
},
"RegIrqMsk_0x05":{ "type": "int", "ver": "0",
"data": "5"
},
"RegIrqMsk":{ "type": "int", "ver": "0",
"data": "0"
},
"RegGnrlCtrl1_0x11":{ "type": "int", "ver": "0",
"data": "17"
},
"RegGnrlCtrl1":{ "type": "int", "ver": "0",
"data": "36"
}
}
}
}

View File

@ -0,0 +1,165 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["291", "246", "356"]
},
"tcs3408_platform":{
"owner": "sns_tcs3408",
".config":{
"owner": "sns_tcs3408",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "4"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "57"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_tcs3408",
".fac_cal":{
"owner": "sns_tcs3408",
"scale":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"cal_chc":{ "type": "flt", "ver": "0",
"data": "21769.0"
},
"cal_chr":{ "type": "flt", "ver": "0",
"data": "9346.0"
},
"cal_chg":{ "type": "flt", "ver": "0",
"data": "8023.0"
},
"cal_chb":{ "type": "flt", "ver": "0",
"data": "5580.0"
},
"cal_chw":{ "type": "flt", "ver": "0",
"data": "9168.0"
},
"rowcoe":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"cal_clc":{ "type": "flt", "ver": "0",
"data": "23386.0"
},
"cal_clr":{ "type": "flt", "ver": "0",
"data": "14115.0"
},
"cal_clg":{ "type": "flt", "ver": "0",
"data": "7245.0"
},
"cal_clb":{ "type": "flt", "ver": "0",
"data": "3718.0"
},
"cal_clw":{ "type": "flt", "ver": "0",
"data": "9850.0"
}
}
},
".rgb":{
"owner": "sns_tcs3408",
".fac_cal":{
"owner": "sns_tcs3408",
"scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".flicker":{
"owner": "sns_tcs3408",
".fac_cal":{
"owner": "sns_tcs3408",
"scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".coefficient":{
"owner": "sns_tcs3408",
"0":{ "type": "flt", "ver": "0",
"data": "15.9"
},
"1":{ "type": "flt", "ver": "0",
"data": "1.66"
},
"2":{ "type": "flt", "ver": "0",
"data": "-1.02"
},
"3":{ "type": "flt", "ver": "0",
"data": "2.36"
},
"4":{ "type": "flt", "ver": "0",
"data": "-3.15"
},
"5":{ "type": "flt", "ver": "0",
"data": "41138.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "24609.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "12686.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "5824.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "14860.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "600.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"12":{ "type": "flt", "ver": "0",
"data": "38343.0"
},
"13":{ "type": "flt", "ver": "0",
"data": "15820.0"
},
"14":{ "type": "flt", "ver": "0",
"data": "13981.5"
},
"15":{ "type": "flt", "ver": "0",
"data": "9757.0"
},
"16":{ "type": "flt", "ver": "0",
"data": "13584.0"
}
}
}
}

View File

@ -0,0 +1,168 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["356"]
},
"tcs3701_platform":{
"owner": "sns_tcs3701",
".config":{
"owner": "sns_tcs3701",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "57"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "122"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_tcs3701",
".fac_cal":{
"owner": "sns_tcs3701",
"scale":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"cal_chh0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"cal_chh1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"cal_chh2":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"cal_chh3":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"cal_chl0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"cal_chl1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"cal_chl2":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"cal_chl3":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"rowcoe":{ "type": "flt", "ver": "0",
"data": "1000.0"
}
}
},
".prox":{
"owner": "sns_tcs3701",
".fac_cal":{
"owner": "sns_tcs3701",
"near_threshold":{ "type": "flt", "ver": "0",
"data": "200.0"
},
"far_threshold":{ "type": "flt", "ver": "0",
"data": "150.0"
},
"offset1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"offset2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1cm_thrd":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3cm_thrd":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".coefficient":{
"owner": "sns_tcs3701",
"0":{ "type": "flt", "ver": "0",
"data": "5.2"
},
"1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "-0.6"
},
"3":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "-0.1"
},
"5":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "1022.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "525.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "368.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "175.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "1638.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "326.0"
},
"12":{ "type": "flt", "ver": "0",
"data": "468.0"
},
"13":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,153 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["356"]
},
"tcs3707_platform":{
"owner": "sns_tcs3707",
".config":{
"owner": "sns_tcs3707",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "57"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_tcs3707",
".fac_cal":{
"owner": "sns_tcs3707",
"scale":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"cal_chc":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"cal_chr":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"cal_chg":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"cal_chb":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"cal_chw":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"rowcoe":{ "type": "flt", "ver": "0",
"data": "1000.0"
}
}
},
".rgb":{
"owner": "sns_tcs3707",
".fac_cal":{
"owner": "sns_tcs3707",
"scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".prox":{
"owner": "sns_tcs3707",
".fac_cal":{
"owner": "sns_tcs3707",
"near_threshold":{ "type": "flt", "ver": "0",
"data": "60.0"
},
"far_threshold":{ "type": "flt", "ver": "0",
"data": "40.0"
}
}
},
".coefficient":{
"owner": "sns_tcs3707",
"0":{ "type": "flt", "ver": "0",
"data": "15.9"
},
"1":{ "type": "flt", "ver": "0",
"data": "1.66"
},
"2":{ "type": "flt", "ver": "0",
"data": "-1.02"
},
"3":{ "type": "flt", "ver": "0",
"data": "2.36"
},
"4":{ "type": "flt", "ver": "0",
"data": "-3.15"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "600.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"12":{ "type": "flt", "ver": "0",
"data": "500.0"
},
"13":{ "type": "flt", "ver": "0",
"data": "300.0"
},
"14":{ "type": "flt", "ver": "0",
"data": "400.0"
},
"15":{ "type": "flt", "ver": "0",
"data": "200.0"
},
"16":{ "type": "flt", "ver": "0",
"data": "600.0"
}
}
}
}

View File

@ -0,0 +1,264 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "RCM", "HDK"],
"soc_id": ["356"]
},
"tmd2725_0":{
"owner": "sns_tmd2725",
".als":{
"owner": "sns_tmd2725",
".config":{
"owner": "sns_tmd2725",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".prox":{
"owner": "sns_tmd2725",
".config":{
"owner": "sns_tmd2725",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"tmd2725_0_platform":{
"owner": "sns_tmd2725",
".config":{
"owner": "sns_tmd2725",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "5"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "57"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "122"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_tmd2725",
".fac_cal":{
"owner": "sns_tmd2725",
"scale":{ "type": "flt", "ver": "0",
"data": "1625.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "2000.0"
}
},
".custom_cal":{
"owner": "sns_tmd2725",
"l0_factor":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"l1_factor":{ "type": "flt", "ver": "0",
"data": "260.0"
},
"l2_factor":{ "type": "flt", "ver": "0",
"data": "800.0"
},
"l3_factor":{ "type": "flt", "ver": "0",
"data": "270.0"
},
"d_factor":{ "type": "flt", "ver": "0",
"data": "41.0"
}
},
".def_config":{
"owner": "sns_tmd2725",
"a_time":{ "type": "flt", "ver": "0",
"data": "90000.0"
},
"w_time":{ "type": "flt", "ver": "0",
"data": "28.0"
},
"w_long":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"a_gain":{ "type": "flt", "ver": "0",
"data": "16000.0"
},
"a_pers":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"a_upperThrs":{ "type": "flt", "ver": "0",
"data": "5.0"
},
"a_lowerThrs":{ "type": "flt", "ver": "0",
"data": "5.0"
},
"a_thrsWidth":{ "type": "flt", "ver": "0",
"data": "10.0"
}
},
".cal_target":{
"owner": "sns_tmd2725",
"lux_cal_target":{ "type": "flt", "ver": "0",
"data": "300.0"
},
"lux_cal_target_tolerance":{ "type": "flt", "ver": "0",
"data": "15.0"
}
}
},
".prox":{
"owner": "sns_tmd2725",
".fac_cal":{
"owner": "sns_tmd2725",
"near_threshold":{ "type": "flt", "ver": "0",
"data": "36.0"
},
"far_threshold":{ "type": "flt", "ver": "0",
"data": "19.0"
}
},
".proxOffset_hWcal":{
"owner": "sns_tmd2725",
"proxOffset":{ "type": "flt", "ver": "0",
"data": "4.0"
},
"proxOffsetSign":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".def_config":{
"owner": "sns_tmd2725",
"ptime":{ "type": "flt", "ver": "0",
"data": "49896.0"
},
"pwait_time":{ "type": "flt", "ver": "0",
"data": "28.0"
},
"ppulse_len":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ppulse":{ "type": "flt", "ver": "0",
"data": "17.0"
},
"pgain":{ "type": "flt", "ver": "0",
"data": "4000.0"
},
"pldrive":{ "type": "flt", "ver": "0",
"data": "24.0"
},
"ppers":{ "type": "flt", "ver": "0",
"data": "2.0"
},
"pupper_thrs":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"plower_thrs":{ "type": "flt", "ver": "0",
"data": "255.0"
},
"poffset_sign":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"poffset_L":{ "type": "flt", "ver": "0",
"data": "50.0"
},
"pBinSearch":{ "type": "flt", "ver": "0",
"data": "2.0"
}
}
},
".placement":{
"owner": "sns_tmd2725",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,82 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["356"]
},
"lsm6dsm_0":{
"owner": "lsm6dsm",
".accel":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,20 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["356"]
},
"lsm6dsm_0":{
"owner": "lsm6dsm",
".accel":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
}
}
}
}
}

View File

@ -0,0 +1,27 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf","HDK"],
"soc_id": ["356"]
},
"mmc5603x_0":{
"owner": "sns_mmc5603x",
".mag":{
"owner": "sns_mmc5603x",
".config":{
"owner": "sns_mmc5603x",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,16 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "340", "347", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400",
"407", "417", "440", "415", "439", "416", "437", "444", "445", "420", "424", "443", "434", "435", "459", "441", "471"]
},
"sns_amd": {
"owner": "sns_amd",
"param1": {"ver": "0","type": "flt","data": "0.06"
},
"param2": {"ver": "0","type": "flt","data": "0.5"
},
"sample_rate": {"ver": "0","type": "flt", "data": "10.0"
}
}
}

View File

@ -0,0 +1,11 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "QRD", "HDK"],
"soc_id": ["339", "340", "356", "361", "415", "439"]
},
"sns_amd": {
"owner": "sns_amd",
"enabled": {"ver": "0","type": "int", "data": "0"
}
}
}

View File

@ -0,0 +1,12 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "347", "341", "355", "360", "365", "366", "393", "394", "400", "407", "417", "440",
"416", "437", "444", "445", "420", "424", "443", "434", "435", "459", "441", "471"]
},
"sns_amd": {
"owner": "sns_amd",
"enabled": {"ver": "0","type": "int", "data": "1"
}
}
}

View File

@ -0,0 +1,10 @@
{
"config":{
},
"sns_aont": {
"owner": "sns_aont",
"aont_enable":{ "type": "int", "ver": "0",
"data": "1"
}
}
}

View File

@ -0,0 +1,37 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400", "407", "417","440",
"415", "439", "444", "445", "420", "424", "443", "434", "435", "459", "441", "471"]
},
"sns_basic_gestures": {
"owner": "sns_basic_gestures",
"sample_rate": { "type": "flt", "ver": "0",
"data": "30.0"
},
"push_threshold": { "type": "flt", "ver": "0",
"data": "14.7178"
},
"pull_threshold": { "type": "flt", "ver": "0",
"data": "14.7178"
},
"shake_threshold": { "type": "flt", "ver": "0",
"data": "14.7178"
},
"sleep": { "type": "flt", "ver": "0",
"data": "0.3"
},
"param1": { "type": "flt", "ver": "0",
"data": "0.1"
},
"param2": { "type": "flt", "ver": "0",
"data": "4.9059"
},
"param3": { "type": "flt", "ver": "0",
"data": "0.1"
},
"param4": { "type": "flt", "ver": "0",
"data": "0.2618"
}
}
}

View File

@ -0,0 +1,37 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400", "407", "417",
"415", "439", "440", "444", "445", "420", "424", "443", "434", "435", "459", "441", "471"]
},
"sns_bring_to_ear": {
"owner": "sns_bring_to_ear",
"sample_rate": { "type": "flt", "ver": "0",
"data": "30.0"
},
"facing_angle_threshold": { "type": "flt", "ver": "0",
"data": "1.1345"
},
"horiz_angle_threshold": { "type": "flt", "ver": "0",
"data": "0.3491"
},
"vert_angle_threshold": { "type": "flt", "ver": "0",
"data": "0.3491"
},
"proximity_enable": { "type": "int", "ver": "0",
"data": "0"
},
"param1": { "type": "flt", "ver": "0",
"data": "2.943"
},
"param2": { "type": "flt", "ver": "0",
"data": "0.2"
},
"param3": { "type": "flt", "ver": "0",
"data": "0.2618"
},
"param4": {"type": "flt", "ver": "0",
"data": "0.2"
}
}
}

View File

@ -0,0 +1,150 @@
{
"config": {
"hw_platform": ["MTP","QRD","Dragon","Surf", "HDK"],
"soc_id": ["339", "340", "356", "361", "415", "439"]
},
"ccd_amd": {
"owner": "sns_ccd",
"h2": { "ver": "0","type": "int",
"data": "50"},
"disable_flush_only": { "ver": "0","type": "int",
"data": "0"}
},
"ccd_ttw": {
"owner": "sns_ccd",
"te0_ratecfg": { "ver": "0","type": "int",
"data": "0x1" },
"te0_xmd_win_len_samp": { "ver": "0","type": "int",
"data": "0x4" },
"te0_xmd_threshhold1": { "ver": "0","type": "int",
"data": "0x4B00" },
"te0_xmd_threshhold2": { "ver": "0","type": "int",
"data": "0x0" },
"te0_xmd_hysteresis1": { "ver": "0","type": "int",
"data": "0x0" },
"te0_xmd_hysteresis2": { "ver": "0","type": "int",
"data": "0x0" },
"te0_tilt_win_sum_shift": { "ver": "0","type": "int",
"data": "0x2" },
"te0_tr_win_len_samples": { "ver": "0","type": "int",
"data": "0xD" },
"te0_tr_angle_cosine_sq": { "ver": "0","type": "int",
"data": "0x327" },
"te0_tr_decimate_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_tr_hysteresis": { "ver": "0","type": "int",
"data": "0x0" },
"te0_dt_angle_cosine_sq": { "ver": "0","type": "int",
"data": "0x2D0" },
"te0_dt_ref_gravity_latch": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori0_x_th_lower": { "ver": "0","type": "int",
"data": "0x1DED" },
"te0_ori0_x_th_upper": { "ver": "0","type": "int",
"data": "0x0213" },
"te0_ori0_y_th_lower": { "ver": "0","type": "int",
"data": "0x1B1D" },
"te0_ori0_y_th_upper": { "ver": "0","type": "int",
"data": "0x04E3" },
"te0_ori0_z_th_lower": { "ver": "0","type": "int",
"data": "0x1B44" },
"te0_ori0_z_th_upper": { "ver": "0","type": "int",
"data": "0x4D5" },
"te0_ori0_x_th_lower_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_x_th_upper_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_y_th_lower_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_y_th_upper_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_z_th_lower_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_z_th_upper_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_delay": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_x_th_lower": { "ver": "0","type": "int",
"data": "0x1C88" },
"te0_ori1_x_th_upper": { "ver": "0","type": "int",
"data": "0x377" },
"te0_ori1_y_th_lower": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_y_th_upper": { "ver": "0","type": "int",
"data": "0xF00" },
"te0_ori1_z_th_lower": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_z_th_upper": { "ver": "0","type": "int",
"data": "0xA00" },
"te0_ori1_x_th_lower_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_x_th_upper_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_y_th_lower_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_y_th_upper_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_z_th_lower_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_z_th_upper_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_delay": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_xmd_cfg1_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd0_xmd_cfg2_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_tr_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd0_ori0_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd0_xmd_cfg1_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_xmd_cfg2_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_tr_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_ori_cfg1_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_xmd_cfg1_inv": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd0_xmd_cfg2_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_tr_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_ori0_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_delay": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_output_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_xmd_cfg1_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_xmd_cfg2_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_tr_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd1_ori1_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd1_xmd_cfg1_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_xmd_cfg2_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_tr_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_ori_cfg1_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_xmd_cfg1_inv": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd1_xmd_cfg2_inv": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd1_tr_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_ori1_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_delay": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_output_inv": { "ver": "0","type": "int",
"data": "0x0" }
}
}

Some files were not shown because too many files have changed in this diff Show More